load_tem_global Subroutine

public subroutine load_tem_global(me, dirname, myPart, nParts, comm)

A routine to load global informations from the header file in the given directory.

Read the header only on the root process, broadcast to all others

Broadcast the header informations to all processes.

Arguments

TypeIntentOptionalAttributesName
type(tem_global_type), intent(out) :: me

Structure to store header in

character(len=*), intent(in) :: dirname

Directory containing the mesh informations

integer, intent(in) :: myPart

The process local part (= MPI Rank in comm)

integer, intent(in) :: nParts

Number of partitions, the mesh is partitioned into (= Number of MPI processes in comm).

integer, intent(in) :: comm

MPI Communicator to use


Calls

proc~~load_tem_global~~CallsGraph proc~load_tem_global load_tem_global interface~aot_get_val~2 aot_get_val proc~load_tem_global->interface~aot_get_val~2 mpi_bcast mpi_bcast proc~load_tem_global->mpi_bcast proc~open_config_file open_config_file proc~load_tem_global->proc~open_config_file proc~aot_table_open aot_table_open proc~load_tem_global->proc~aot_table_open proc~aot_table_close aot_table_close proc~load_tem_global->proc~aot_table_close proc~load_tem_prophead load_tem_prophead proc~load_tem_global->proc~load_tem_prophead proc~close_config close_config proc~load_tem_global->proc~close_config proc~load_tem_prophead->mpi_bcast proc~load_tem_prophead->proc~aot_table_open proc~load_tem_prophead->proc~aot_table_close interface~aot_get_val aot_get_val proc~load_tem_prophead->interface~aot_get_val

Called by

proc~~load_tem_global~~CalledByGraph proc~load_tem_global load_tem_global proc~tem_global_mesh_read tem_global_mesh_read proc~tem_global_mesh_read->proc~load_tem_global proc~load_tem load_tem proc~load_tem->proc~load_tem_global proc~load_env load_env proc~load_env->proc~load_tem proc~tem_restart_readheader tem_restart_readHeader proc~tem_restart_readheader->proc~load_tem program~tem_varsys_stfunvar_test tem_varSys_stfunVar_test program~tem_varsys_stfunvar_test->proc~load_env program~tem_varsys_statevar_test tem_varSys_stateVar_test program~tem_varsys_statevar_test->proc~load_env program~tem_varsys_derivevar_test tem_varSys_deriveVar_test program~tem_varsys_derivevar_test->proc~load_env proc~check_variableoperations check_variableOperations proc~check_variableoperations->proc~load_env program~tem_variable_evaltype_test tem_variable_evaltype_test program~tem_variable_evaltype_test->proc~load_env program~tem_varsys_test tem_varSys_test program~tem_varsys_test->proc~load_env program~tem_spacetime_fun_test tem_spacetime_fun_test program~tem_spacetime_fun_test->proc~load_env proc~tem_load_restart tem_load_restart proc~tem_load_restart->proc~tem_restart_readheader program~tem_variable_extract_test tem_variable_extract_test program~tem_variable_extract_test->proc~load_env program~tem_variable_combine_test tem_variable_combine_Test program~tem_variable_combine_test->proc~load_env proc~check_serial_singlelevel_facedesc check_serial_singlelevel_faceDesc proc~check_serial_singlelevel_facedesc->proc~load_env program~tem_varsys_opvar_test tem_varSys_opVar_test program~tem_varsys_opvar_test->proc~load_env proc~check_parallel_singlelevel_facedesc check_parallel_singlelevel_faceDesc proc~check_parallel_singlelevel_facedesc->proc~load_env program~tem_face_test~3 tem_face_test program~tem_face_test~3->proc~check_parallel_singlelevel_facedesc program~tem_face_test tem_face_test program~tem_face_test->proc~check_serial_singlelevel_facedesc program~tem_logical_opertor_test tem_logical_opertor_test program~tem_logical_opertor_test->proc~check_variableoperations

Contents


Variables

TypeVisibilityAttributesNameInitial
character(len=300), private :: headname
integer, private :: iError
integer, private :: root
integer, private :: i
logical, private :: ex
integer, private :: thandle
integer, private :: sub_handle
type(flu_State), private :: conf